Студенческий сайт КФУ - ex ТНУ » Учебный раздел » Учебные файлы »Коммуникации и связь

Разработка принципиальной схемы 16 разрядного счетчика с использованием программы Electron ics Workbench 5.12

Тип: контрольная работа
Категория: Коммуникации и связь
Скачать
Купить
Описание узлов 16 разрядного счетчика и изучение принципов работы его элементов. Обзор общих сведений о триггерах. Разработка принципиальной схемы проектируемого устройства с использованием моделей компьютерной программы Electron ics Workbench 5.12.
Краткое сожержание материала:

Размещено на

2

Контрольная работа

Разработка принципиальной схемы 16 разрядного счетчика с использованием программы Electron ics Workbench 5.12

Содержание

Введение

1. Описание узлов 16 разрядного счетчика

2. Принцип работы элементов

2.1 Общие сведенья о триггерах

2.2 Триггер

3. Разработка принципиальной схемы с использованием программы Electron ics Workbench 5.12

Заключение

Перечень используемых источников

Приложение А

Приложение Б

принципиальная схема счетчик триггер

Введение

Подсчет импульсов является одной из наиболее распространенных операций, выполняемых в устройствах дискретной обработки информации. Такая операция в цифровых устройствах выполняется с помощью счетчиков. Счетчиком называют цифровое устройство, предназначенное для подсчета числа импульсов.

Счетчик - это устройства предназначенные для подсчета числа сигналов, поступающих на его вход и фиксация этого числа в виде кода хранящегося в триггерах. Количество разрядов счетчика определяется наибольшим числом, которое должно быть получено в каждом конкретном случае. Для подсчета и выдачи результата счетчики имеют один вход и n выходов, где n-количество разрядов. В общем случае счетчик имеет 2? устойчивых состояния, включая и 0-е. Количество устойчивых состояний называется коэффициентом пересчета счетчика (М= 2?)

1. Описание узлов 16 разрядного счетчика

Для преобразования булевой алгебры используют некоторый набор логических выражений. Существуют специализированные ИМС, разработанные методами интегральной технологии специально для получения требуемой логической зависимости.

Помимо специализированных ИМС имеется уникальный набор логических элементов, обеспечивающий реализацию любых логических функций. К этому набору относят: инвертор, конъюнктор , дизъюнктор; повторитель; И-НЕ; ИЛИ-НЕ; исключающее ИЛИ; И-ИЛИ-НЕ; запрет и др.

Для описания узлов шетнадцати разрядного счетчика необходимо знать на каких элементах он строится.

Счетчик - это устройства предназначенные для подсчета числа сигналов, поступающих на его вход и фиксация этого числа в виде кода хранящегося в триггерах. Количество разрядов счетчика определяется наибольшим числом, которое должно быть получено в каждом конкретном случае. Для подсчета и выдачи результата счетчики имеют один вход и n выходов, где n-количество разрядов. В общем случае счетчик имеет 2? устойчивых состояния, включая и 0-е. Количество устойчивых состояний называется коэффициентом пересчета счетчика (М= 2?). Далее будет рассмотрены некоторые из видов счётчики.

· Суммирующие счетчики

Суммирующий счетчик должен функционировать так, чтобы при поступлении на его вход одного импульса записанное в нем число увеличилось на единицу. Принцип построения суммирующего счетчика следует из правила прибавления к двоичному числу единицы. В соответствии с этим правилом, например, трехразрядный счетчик должен последовательно принимать состояния 000, 001, 010, 011, 100, …, 111.

Видно, что триггер младшего разряда переключается каждым счетным импульсом, т.е. входом счетчика служит вход этого триггера. Состояния второго и третьего триггеров меняются соответственно каждым вторым и четвертым импульсом. Это обеспечивается последовательным соединением триггеров.

Из правила прибавления к двоичному числу единицы также известно, что изменение значения i-го разряда происходит тогда, когда до прибавления очередной единицы все предыдущие разряды были единицами. Отсюда следует правило: если триггеры имеют прямой счетный вход, то он подключается к инверсному выходу предыдущего триггера; если имеет инверсный вход, то он подключается к прямому выходу.

Иллюстрирующий пример трехразрядного суммирующего счетчика с временными диаграммами работы и условным изображением приведен на рисунке 1.1. Счетчик может принимать 8 различных состояний, которые

Рисунок 1 - схема (а), условное графическое обозначение (б) и (в) временные диаграммы трехразрядного суммирующего счетчика с последовательным переносом повторяются через каждые 8 входных импульсов (Kn=8).

С наибольшей частотой переключается триггер младшего разряда, следовательно, разрешающая способность счетчика определяется временем задержки переключение триггера (Тсттг). Это положение распространяется на все типы двоичных счетчиков.

Данный счетчик называют счетчиком с последовательным переносом, так как переключение триггера i-го разряда происходит в результате последовательного переключения всех предыдущих триггеров младших разрядов, т. е. информация распространится по цепочке триггеров последовательно.

· Вычитающие счетчики

При подаче на вход вычитающего счетчика одного счетного импульса ранее записанное в нем число уменьшается на единицу. Принципы построения вычитающих счетчиков основаны на правилах вычитания двоичных чисел и отличаются от принципов построения суммирующих счетчиков лишь тем, что если триггеры имеют прямой вход +1, то его подключают к прямому выходу предыдущего триггера, если вход инверсный, то подключают к инверсному выходу. Пример данного счетчика можно увидеть на рисунке 1.2.

Рисунок 1.2. - вычитающий счетчик

Заметим, что данный счетчик можно рассматривать как суммирующий, а суммирующий -- как вычитающий при инвертировании выходных сигналов Qi, или съеме информации с выходов Qi.

· Реверсивные счетчики

Они могут работать как в режиме суммирования, так и вычитания Переключение режимов осуществляется коммутацией счетных входов всех триггеров (кроме триггера младшего разряда) инверсным или прямым выходам предыдущих триггеров.

Рисунок 1.3 - Фрагмент схемы реверсивного счётчика

Схема имеет два входа для подачи входных сигналов: +1 -- при работе в режиме суммирования, -1 -- при работе в режиме вычитания. Дополнительный управляющий вход N задаёт направление счёта. При N=0 схема (рисунок 1.3) работает как суммирующий счётчик, а при N=1 -- как вычитающий.

· Счётчики с последовательно-параллельным переносом 

Все рассмотренные выше схемы счётчиков представляют собой счётчики с последовательным переносом. В этих счётчиках импульсы, подлежащие счёту, поступают на вход только одного первого триггера, а сигнал переноса передаётся последовательно от одного разряда к другому. Такие счётчики отличаются простотой схемы, но имеют невысокое быстродействие. Счётчики с параллельным переносом строятся на синхронных триггерах. Счётные импульсы подаются одновременно на тактовые входы всех триггеров, а каждый из триггеров цепочки служит по отношению к последующим только источником сигналов. Срабатывание триггеров параллельного счётчика происходит синхронно, и задержка переключения всего счётчика равна задержке для одного триггера. Следовательно, такие счётчики более быстродействующие. Их основным недостатком является большая мощность, потребляемая от источника входных сигналов, так как входные импульсы подаются на тактовые входы всех триггеров. Для устранения недостатков рассмотренных выше счётчиков разработаны и используются счётчики с последовательно-параллельным переносом.

В счётчиках с последовательно-параллельным переносом триггеры объединены в группы так, что отдельные группы образуют счётчики с параллельным переносом, а группы соединяются с последовательным переносом. В роли групп могут быть и готовые счётчики.

Общий коэффициент счёта таких счётчиков равен произведению коэффициентов счёта всех групп.

В качестве примера ниже (рисунок 1.4) приведена счётная декада на JK-триггерах.

Рисунок 1.4 - счётная декада на JK-триггерах

К моменту прихода 8-го импульса на двух входах J 4-го триггера формируется уровень лог. «1». 8-ым импульсом этот триггер переключается в состояние лог. «1», а уровень лог. «0» с его инверсного выхода, подаваемый на вход «J» второго триггера, запрещает его переключение в единичное состояние под действием 10-го импульса. 10-ый импульс восстанавливает нулевое состояние 4-го триггера и цикл работы счётчика повторяется.

Также при разработке устройства использовались некоторые необходимые элементы из программы Electronics Workbenh:

- Функциональный генератор

Является идеальным источником напряжения, вырабатывающим сиг-

налы синусоидальной, прямоугольной или треугольной формы. Его можно увидеть на рисунке 1.5.

Рисунок 1.5 - Функциональный генератор

Средний вывод генератора при подключении к схеме обеспечивает общую точку для отсчета амплитуды переменного напряжения. Для отсчета напряжения относительно нуля общий вывод заземляют. Крайние правый и левый выводы служат для подачи переменного напряжения на схему. Напряжение на правом выводе изменяется в положительном направлении относительно общего вывода, напряжени...

Другие файлы:

Проектирование микропроцессорной измерительной системы
Разработка микропроцессорной системы для контроля и индикации параметров изменяющегося по случайному закону 8-ми разрядного двоичного кода. Проектиров...

Делитель частоты
Цифровой делитель частоты: сущность и предназначение. Разработка функциональной и принципиальной схемы устройства. Определение источника питания для с...

Синтез синхронного и асинхронного автомата
Структурно–функциональное описание счетчика. Построение функциональной схемы синхронного автомата для 4-разрядного счетчика. Кодирование состояний авт...

Диагностика и ремонт СВ-передатчика
Чертеж принципиальной схемы СВ-передатчика, алгоритм его диагностики. Чертеж принципиальной электрической схемы микрофонного усилителя с использование...

Разработка счетчика, состоящего из двух частей
Реализация устройства, выполняющего счет до 30, с помощью среды разработки Electronics Workbench. Принцип работы счетчика - подсчёт числа импульсов, п...