Студенческий сайт КФУ - ex ТНУ » Учебный раздел » Учебные файлы »ПРОГРАММИРОВАНИЕ

Подключение устройства ввода к порту PS/2

Тип: курсовая работа
Категория: ПРОГРАММИРОВАНИЕ
Скачать
Купить
Описание интерфейса PS/2, возникновение порта. Способ передачи информации, программируемая логическая интегральная схема. Основные понятия и принципы языка VerilogHDL: базовые типы источников сигнала, основные арифметические и логические функции.
Краткое сожержание материала:

Размещено на

Министерство образования и науки Российской Федерации

Пояснительная записка по теме:

ПОДКЛЮЧЕНИЕ УТРОЙСТВА ВВОДА К ПОРТУ PS/2

Введение

Несмотря на то, что USB (Universal Serial Bus - «универсальная последовательная шина») клавиатур и манипуляторов типа мышь становится всё больше и больше, компьютеры со «старым» PS/2 интерфейсом всё ещё выпускаются. На системном блоке имеются два небольших шестиконтактных разъёма, один над другим, зелёный и фиолетовый. Это они. Их и используют для подключения манипулятора типа мышь и клавиатуры стандарта PS/2 (Personal System - серия персональных компьютеров компании IBM (International Business Machines)).

1. Общая часть

1.1 Описание интерфейса PS/2

PS/2 - разъем, применяемый для подключения клавиатуры и манипулятора типа мышь (рисунок 1). Впервые появился в 1987 году на компьютерах IBM PS/2 и впоследствии получил признание других производителей и широкое распространение в персональных компьютерах и серверах рабочих групп.

Сейчас все больше компьютерных манипуляторов типа мышь и клавиатур имеют разъем USB, некоторые современные системные платы (особенно миниатюрных форм-факторов) не имеют разъема PS/2 или имеют только один разъем. Современные ноутбуки не имеют разъемов PS/2 и для подключения к ним манипулятора типа мышь или внешней клавиатуры используется порт USB.

Некоторые системные платы (например, выпускаемые компанией Intel) могут правильно работать при «неправильном» подключении манипулятора типа мышь или клавиатуры, то есть при подключении клавиатуры в порт предназначенный для манипулятора типа мышь, и наоборот, системная плата сама распознает устройство и позволяет пользователю продолжить работу и с манипулятором типа мышь, и с клавиатурой без их переподключения. Большинство же системных плат при неправильном подключении (или при отключении во время работы), потребуют от пользователя перезагрузки и «правильного» подключения устройств. На некоторых системных платах, которые умеют распознавать подключаемые устройства, производители устанавливают только один разъём PS/2, окрашивая его в два соответствующих цвета. Таким образом пользователь может сам решить, что туда подключать: клавиатуру или манипулятор типа мышь. Устройства, оставшиеся не подключенными можно подключить через порт USB.

Существуют компьютерные манипуляторы типа мышь и клавиатуры (например, производимые фирмами Microsoft или Logitech) имеющие разъём для подключения USB и способные работать через переходник с портом PS/2. Такое подключение допустимо только для специально спроектированных USB - устройств.

Предшественником порта PS/2 являлся DIN-разъем применяемый изначально в аудиоаппаратуре, для клавиатур использовался пяти контактный DIN. Цвета жил порта PS/2 обычно такие: первая - оранжевый, вторая - голубой, третья - зеленый, четвёртая - белый. Аналогичные жилы для клавиатур с DIN - разъемом обычно такие: первая - красный, вторая - черный, третья - серый, четвёртая - желтый.

Рисунок 1 - Внешний вид разъёмов MiniDIN

1.2 Физический интерфейс

Физический интерфейс порта PS/2 (рисунок 2) включает четыре проводника: землю, напряжение питания (изначально использовалось напряжение +5В, все современные контроллеры и устройства используют +3,3В), линию данных (Data) и линию синхронизации (Clock). Технически две последние линии выполнены таким образом, что логическая единица на каждой из них присутствует только тогда, когда и контроллер интерфейса («хост» по терминологии, используемой в описании интерфейса), и устройство одновременно удерживают на данной линии логическую единицу. Если хост или устройство выставляет на линию логический нуль, на всей линии появляется нуль, даже если другое устройство продолжает удерживать единицу.

Рисунок 2 - Интерфейс разъёма PS/2

Слева разъём который устанавливается на шнур клавиатуры. Справа разъём устанавливаемый на системную плату компьютера.

Сигналы интерфейса:

Data (передаваемые данные);

Not Implemented (не используется);

Ground (Земля);

VCC (+5V) (Питание);

Clock (сигнал синхронизации передаваемых данных);

Not Implemented (не используется).

1.3 Возникновение порта PS/2

Клавиатура к первым ПК (Персональный компьютер (IBM PC и IBM/XT,1981 год)) подключалась по однонаправленному (передача данных только в одну сторону - от клавиатуры к компьютеру) интерфейсу, программное взаимодействие с которым осуществлялось с помощью двух портов микросхемы параллельного интерфейса 8255: порт А (он был доступен по адресу 60h) использовался как регистр принятых от клавиатуры данных, а порт В (61h) содержал биты, управляющие как клавиатурой, так и другими устройствами. Нажатие и отпускание клавиш кодировалось способом, ныне известным как таблица скэн-кодов номер один.

В компьютерах IBM PC/AT (первые из них появились в 1984 году) от применения микросхемы 8255 отказались. Для обеспечения взаимодействия с клавиатурой был применён микроконтроллер 8042. Изменился и сам физический интерфейс: он стал двунаправленным. Хотя клавиатура по-прежнему подключалась через пяти контактный DIN-коннектор, она была несовместима с клавиатурами IBM PC и IBM PC/XT (хотя в переходный период выпускалось большое число клавиатур, пригодных для использования с обоими типами интерфейсов; выбор нужного интерфейса осуществлялся специальным переключателем). В клавиатурах IBM PC/AT была использована новая таблица скэн-кодов, получившая второй номер.

В 1987 году IBM начала выпуск семейства ПК IBM PS/2. В этих компьютерах использовалась та же самая микросхема 8042, однако её функции были расширены: она обеспечивала подключение не только клавиатуры, но и манипулятора типа мышь, для которой был добавлен второй коннектор. Электрически интерфейс остался тем же самым, что на IBM PC/AT, однако коннекторы поменялись: вместо пяти контактных DIN (Deutsches Institut fur Normung) коннекторов стали использовать шести контактные MiniDIN разъёмы. С помощью переходника клавиатура IBM PC/AT могла быть подключена к PS/2, и наоборот. IBM разработала и новую таблицу скэн-кодов - номер три, но клавиатуры, пригодные для использования с разными типами ПК, обеспечивали программное переключение используемой таблицы.

Хотя сами компьютеры PS/2 не смогли завоевать рынок, простой и достаточно эффективный интерфейс PS/2 стал стандартным, быстро вытеснив близкий к нему но менее функциональный (из-за отсутствия поддержки манипулятора типа мышь) контроллер IBM PC/AT. Он используется и в настоящее время, хотя на новых системных платах уже может отсутствовать: всё чаще клавиатура и манипулятор типа мышь подключаются по интерфейсу USB. Что же касается набора скэн-кодов, то все современные клавиатуры работают с таблицей номер два.

Контроллер интерфейса PS/2 часто ошибочно именуют контроллером клавиатуры. Это абсолютно неверно. Контроллер клавиатуры находится внутри самой клавиатуры, отслеживает нажатия и отпускания клавиш и посылает по интерфейсу PS/2 соответствующие скэн-коды, а так же принимает по этому интерфейсу команды и выполняет их (например, переключает светодиоды или меняет скорость автоповтора нажатия клавиш). Контроллер PS/2 никакого отношения к клавиатуре или манипулятору типа мышь как такового не имеет и располагается на системной плате компьютера; к нему можно подключить абсолютно любое устройство, использующее интерфейс PS/2. Задача этого контроллера - принимать данные от устройства и позволять процессору их считывать, а так же принимать данные от процессора и передавать их устройству.

1.4 Способ передачи информации через порт PS/2

Между устройством и хостом информация передаётся пакетами по одиннадцать бит. Первый передаваемый бит является стартовым, он всегда равен нулю. Далее следует восемь битов данных, причём первым передаётся наименее значащий. После битов данных идёт контрольный бит (он дополняет общее количество единичных битов данных до нечётного), а за ним - стоп бит, всегда равный единице. Например, для передачи байта, имеющего шестнадцатеричное значение 15h, используется следующая последовательность битов: 01010100001.

Для интерфейса, соединяющего (физически или логически) два устройства, различают три возможных режима обмена:

- дуплексный режим позволяет по одному каналу связи одновременно передавать информацию в обоих направлениях. Он может быть ассиметричным, если пропускная способность в противоположных направлениях имеет существенно различающиеся значения, или симметричным;

- полудуплексный режим позволяет передавать информацию в противоположных направлениях поочередно, при этом интерфейс имеет средства переключения направления канала;

- симплексный (односторонний) режим предусматривает только одно направление передачи информации (во встречном направлении передаются только вспомогательные сигналы интерфейса).

1.5 Программируемая логическая интегральная схема

Другие файлы:

Устройства ввода информации в ПК
Разнообразие выпускаемых устройств ввода. Основные устройствами ввода информации в компьютер: клавиатуры, мыши, трекболы, графические планшеты, сканер...

Периферийные устройства: устройства ввода
Аппаратные средства (устройство ввода и управляющее устройство – контроллер). Управляющие программы для - драйверы. Стандарт "Plug and Play" (подключи...

Устройства ввода и вывода. Обзор. Перспективы развития
Периферийные устройства ввода-вывода информации, перспективы их развития. Мышь, джойстик, тачпад, клавиатура, web-камеры, сканер, мониторы и принтеры....

Устройства ввода информации
Анализ особенностей работы специальных устройств для ввода информации в память компьютера. Клавиатура – устройство позволяющее вводить числовую и текс...

Устройства ввода
Устройства ввода как аппаратные средства для преобразования информации из формы, понятной человеку, в форму, воспринимаемую компьютером, их разновидно...